Главная

Популярная публикация

Научная публикация

Случайная публикация

Обратная связь

ТОР 5 статей:

Методические подходы к анализу финансового состояния предприятия

Проблема периодизации русской литературы ХХ века. Краткая характеристика второй половины ХХ века

Ценовые и неценовые факторы

Характеристика шлифовальных кругов и ее маркировка

Служебные части речи. Предлог. Союз. Частицы

КАТЕГОРИИ:






Массивы неограниченной длины на языке VHDL.




Массив – набор элементов одного типа.

Для массивов неограниченной длины не задаётся конкретный диапазон индекса, а только его тип. Описание таких типов имеет следующий синтаксис:

type name is array (name_of_type_of_index range <>) of element_type;

Например:

type sample is array (natural range <>) of integer;

Когда описывается объект такого типа, то необходимо задать конкретное значение интервала для индекса, например:

variable sh_sam: sample (0 to 63);

На базе типа, описанного таким образом, можно определять подтипы, в которых также должно быть задано конкретное значение интервала для индекса.

Если таким типом описывается константа, то это делается на базе агрегатного описания. В таком описании могут быть просто перечислены значения элементов или же могут быть номера элементов, которым присваиваются значения, а в агрегате задаются напрямую.

Например:

constant cc_dam:=(127,63,0,-63);

constant dd_dam:=(1=>23, 3=>4, 2=>100);

Битовые векторы. Для представления битовых векторов язык VHDL имеет встроенный тип – массив неограниченной длины с битовым типом элементов и положительным типом индекса:

type bit_vector is array (positive range <>) of bit;

В VHDL определены типы Signed и Unsigned. Это массивы неограниченной длины, элементы которых имеют тип bit, но для которых определён расширенный набор операций и функций преобразования.

Строки. Для представления строк, VHDL имеет встроенный тип – массив неограниченной длины с символьным типом элементов и положительным типом индекса:

type string is array (positive range <>) of character;

Физические типы неограниченной длины. Пакет стандартной логики std_logic_1164 предлагает следующие типы - массивы неограниченной длины:

type std_ulogic_vector is array (natural range <>) of std_ulogic;

Например:

variable c_t: std_ulogic_vector (0 to 13):=”ZZZZZ11Z00---”;

Массивы неограниченной длины находят широкое применение при описании входных и выходных сигналов проектируемого устройства.

В качестве элементов массивов могут быть использованы массивы.






Не нашли, что искали? Воспользуйтесь поиском:

vikidalka.ru - 2015-2024 год. Все права принадлежат их авторам! Нарушение авторских прав | Нарушение персональных данных