Главная

Популярная публикация

Научная публикация

Случайная публикация

Обратная связь

ТОР 5 статей:

Методические подходы к анализу финансового состояния предприятия

Проблема периодизации русской литературы ХХ века. Краткая характеристика второй половины ХХ века

Ценовые и неценовые факторы

Характеристика шлифовальных кругов и ее маркировка

Служебные части речи. Предлог. Союз. Частицы

КАТЕГОРИИ:






Последовательные операторы языка VHDL.




Последовательные операторы VHDL могут использоваться в описании процессов, процедур и функций.

Их состав включает:

оператор присваивания переменной (:=);

оператор назначения сигналу (<=);

оператор утверждения (assert);

условный (if);

выбора (case);

цикла (loop);

пустой оператор (null);

оператор возврата процедуры - функции (return);

оператор ожидания (wait)

Оператор условия if оператор выбора case позволяют описывать совокупности действий, некоторые из которых исполняются при возникновении определенных условий.

Исполнение операторов, записанных в теле процесса, приостанавливается, если очередной оператор является оператором ожидания (фактически — оператором приостанова) wait. Прекращения состояния приостанова процесса зависит от условий, определенных в операторе wait.

Операторы повторения loop позволяют сокращенно записывать совокупность однотипных действий.

Оператор проверки assert служит для выявления специфических ситуаций, которые могут возникать в процессе компиляции и моделирования и выдачи в этих ситуациях сообщения разработчику.

Параллельные операторы это такие, каждый из которых выполняется при любом изменении сигналов, используемых в качестве его исходных данных. Результаты исполнения оператора доступны для других параллельных операторов не ранее, чем будут выполнены все операторы, инициализированные общим событием (а может быть и позже, если присутствуют выражения задержки). В языке VHDL к классу параллельных операторов относятся:

<Параллалъный оператор>:: -

<оператор процесса>

<оператор параллельного присваивания>

<параллельный вызов процедуры>

<параллельный оператор проверки>

<оператор блока>

<оператор вхождения компонента>

<оператор генерации>

Оператор процесса определен именно как составной оператор (оператор, имеющий тело, которое содержит несколько вложенных операторов) параллельного типа.

Параллельное присваивание определено в трех различных формах:

<Параллельное присваивание:: =

[ <метка>: ]<безусловное параллельное присваивание>

[ <метка>: ] <условное присваивание>

[ <метка>:] <присваивание по выбору>

Параллельные операторы проверки и вызова подпрограмм соотносятся с соответствующими последовательными операторами проверки и вызова подобно соотношению параллельного и последовательного присваивания, а именно: они имеют одинаковый синтаксис и правила выполнения, но различаются локализацией и условиями запуска к исполнению.

Оператор блока block, подобно оператору process, является составным итераторам, тело которого включает несколько операторов, но, в данном случае, параллельных. Операторы тела блока, как и другие параллельные операторы, обеспечивают возможность представления параллелизма в моделируемой системе. Эти операторы инициируются не по последовательному, а по событийному принципу, а результаты их исполнения становятся доступны другим операторам как включенным в блок, так и размещенным в других блоках или "индивидуально", только после исполнения всех операторов, инициированных одним событием.






Не нашли, что искали? Воспользуйтесь поиском:

vikidalka.ru - 2015-2024 год. Все права принадлежат их авторам! Нарушение авторских прав | Нарушение персональных данных