Главная

Популярная публикация

Научная публикация

Случайная публикация

Обратная связь

ТОР 5 статей:

Методические подходы к анализу финансового состояния предприятия

Проблема периодизации русской литературы ХХ века. Краткая характеристика второй половины ХХ века

Ценовые и неценовые факторы

Характеристика шлифовальных кругов и ее маркировка

Служебные части речи. Предлог. Союз. Частицы

КАТЕГОРИИ:






Цифровой частотный детектор




Частотный детектор обычно строится на основе фазового детектора. Для этого необходимо преобразовать изменение частоты входного сигнала в изменение его фазы. В цифровых детекторах это преобразование может быть осуществлено обычной задержкой входного сигнала. В качестве элемента задержки можно воспользоваться обыкновенным инвертором. Принципиальная схема частотного детектора, реализованного в соответствии с этим принципом, приведена на рисунке 12.10.

 

 

Рисунок 12.10 – Принципиальная схема частотного детектора,

построенного на логических элементах

 

Для того чтобы понять, как работает эта схема рассмотрим временные диаграммы сигналов на входах и выходе логического элемента "исключающее ИЛИ", приведённые на рисунке 12.11.

 

 

Рисунок 12.11 – Временные диаграммы сигналов на входах и выходе логического элемента "исключающее ИЛИ"

 

По приведённым временным диаграммам видно, что длительность импульсов на выходе логического элемента "исключающее ИЛИ" зависит от задержки использованного инвертора. При этом их период зависит от частоты входного колебания. Это означает, что скважность импульсов, а, следовательно, и напряжение на выходе интегрирующей RC цепочки определяется частотой входного колебания.

Частотная зависимость напряжения на выходе исследуемой схемы цифрового детектора приведена на рисунке 12.12.

 

 

 

Рисунок 12.12 – Частотная зависимость напряжения на выходе схемы цифрового частотного детектора

 

По приведённой на рисунке 12.12 частотной зависимости видно, что цифровой частотный детектор обладает прекрасной линейностью. Линейность зависит в основном от длительности фронтов импульсов.

Так как время задержки одиночного инвертора составляет единицы или десятки наносекунд, то рабочая полоса частотного детектора составляет сотни или десятки мегагерц соответственно. При этом крутизна его частотной характеристики получается недостаточной для большинства практических применений. Например, не позволяет использовать данный детектор для демодуляции частотно-модулированных сигналов.

Увеличить крутизну частотной характеристики частотного детектора можно, увеличив время задержки сигналов на входе умножителя, собранного на основе логического элемента "исключающее ИЛИ". Так как в одном корпусе микросхемы обычно находится несколько инверторов, то можно увеличить количество инверторов, использующихся в качестве элементов задержки, практически не увеличивая занимаемой площади печатной платы. Подобная схема частотного детектора приведена на рисунке 12.13.

 

 

Рисунок 12.13 – Принципиальная схема частотного детектора,

построенного на трёх инверторах

 

Частотная зависимость этого детектора приведена на рисунке 12.14. Преобразование частоты в напряжение на выходе схемы можно производить на трёх участках этой зависимости.

 

 

 

Рисунок 12.14 – Частотная зависимость напряжения на выходе схемы цифрового частотного детектора

 

Крутизна частотной характеристики увеличилась втрое. Во столько же раз уменьшился частотный диапазон одного участка. Можно сделать вывод, что крутизна частотной зависимости частотного детектора зависит от величины задержки на входе умножителя, собранного на логическом элементе "исключающее ИЛИ". При этом, чем больше крутизна этой зависимости, тем в меньшем диапазоне частот работает цифровой частотный детектор.

 

Фазовый компаратор

При работе в цепи фазовой автоподстройки ошибка по фазе может приводить к неточной настройке синтезатора. Это связано с принципиальной работой фазового детектора — он вырабатывает напряжение, использующееся для настройки ГУН. Для удержания ГУН на заданной частоте необходим постоянный сдвиг фаз межу опорным колебанием и подстраиваемым колебанием, вырабатываемым ГУН. Устранить этот недостаток можно при использовании схемы фазового компаратора.

Фазовый компаратор позволяет формировать напряжение не пропорциональное фазе, а соответствующее знаку фазы, то есть на его выходе может быть три значения напряжения: отставание по фазе, опережение по фазе и точное совпадение по фазе входных колебаний.

Если проинтегрировать такое напряжение на конденсаторе, то при отставании фазы колебания с выхода ГУН (частота на выходе ГУН меньше требующегося значения), напряжение на конденсаторе будет уменьшаться. При опережении фазы колебания с выхода ГУН фазы опорного колебания (частота на выходе ГУН больше требующегося значения), напряжение на конденсаторе будет увеличиваться. Один из вариантов реализации схемы фазового компаратора приведен на рисунке 12.15.

 

 

 

Рисунок 12.15 – Принципиальная схема фазового компаратора

 

Для построения фазового компаратора в этой схеме используются два D‑триггера. На тактовые входы этих триггеров подаются опорное и подстраиваемое колебания. При подаче на вход схемы высокого потенциала, в триггер записывается единичное значение. При записи единичных значений в оба триггера триггеры обнуляются сигналом, формируемым микросхемой D2.

В результате, в процессе работы схемы фазового компаратора при опережении фазы опорного колебания импульсы будут формироваться на выходе триггера D1.1, при опережении фазы подстраиваемого колебания импульсы появятся на выходе триггера D1.2. При точном совпадении фаз опорного и подстраиваемого колебания импульсы на выходе обоих триггеров будут настолько короткими, что ими можно пренебречь.

Импульсы с выходов триггера D1.1 подаются на заряжающий конденсатор C1 ключ, собранный на транзисторе VT1, а импульсы с выхода триггера D1.2 подаются на разряжающий конденсатор C1 ключ, собранный на транзисторе VT2. В результате, напряжение на конденсаторе C1 будет оставаться неизменным только при точном совпадении фазы опорного и подстраиваемого колебаний.

Временные диаграммы сигналов на входах и выходах фазового компаратора при различном соотношении фаз опорного и подстраиваемого колебаний приведены на рисунке 12.16.

 

 

Рисунок 12.16 – Временные диаграммы сигналов на входах и выходах

триггеров, входящих в состав фазового компаратора:

а — опорное колебание опережает подстраиваемое по фазе;

б — подстраиваемое колебание опережает опорное по фазе;

в — колебания совпадают по фазе

 

Обычно для управления варикапами, входящими в состав генератора управляемого напряжением (ГУН), требуются напряжения, большие напряжения питания цифровых микросхем (порядка 12 … 15 В). В таких случаях на выходе фазового компаратора, схема которого приведена на рисунке 12.15, требуется усилитель. В некоторых случаях можно обойтись без усилителя, если воспользоваться диодными ключами, как это показано на принципиальной схеме, приведённой на рисунке 12.17.

 

Рисунок 12.17 – Принципиальная схема фазового компаратора с диодными ключами

 

В приведённой на рисунке 12.17 схеме фазового компаратора заряд ёмкости C1 производится через резистор R5, а разряд этой ёмкости производится через резистор R4 и полностью открытый транзистор VT1. Использование различных резисторов в цепи заряда и разряда конденсаторов позволяет независимо регулировать время перестройки синтезатора вниз и вверх по частоте.

На этом можно завершить обзор элементов, входящих в состав схемы фазовой подстройки частоты и перейти к рассмотрению конкретных примеров использования схем ФАПЧ.

 

Умножители частоты

 

Цепи фазовой подстройки частоты часто используются для умножения частоты. Раньше для этой цели использовались схемы генераторов гармоник с последующим выделением соответствующей гармоники узкополосным фильтром.

Намного лучше для этой цели подходит схема фазовой автоподстройки частоты. В этой схеме относительно просто можно изменять коэффициент умножения частоты изменением коэффициента деления в цепи обратной связи. Для умножения частоты внутри микросхем используется либо цифровая, либо полностью цифровая схема фазовой автоподстройки частоты.

Умножители частоты в настоящее время обычно используются для увеличения внутренней тактовой частоты больших интегральных микросхем. В этих микросхемах цифровая схема фазовой автоподстройки частоты получила название аналогового умножителя тактовой частоты, а полностью цифровая схема ФАПЧ получила название цифрового умножителя частоты.

Для увеличения тактовой частоты цифровых микросхем чаще используется полностью цифровая схема умножения частоты, а для смешанных схем или схем, предназначенных для цифровой обработки сигналов предпочтительнее использование аналогового умножителя частоты. Это связано со спектральной чистотой выходного сигнала. Аналоговая схема обеспечивает более стабильное колебание, но при этом медленнее выходит на рабочий режим.

Пример принципиальной схемы аналогового умножителя тактовой частоты приведен на рисунке 12.18.

 

 

Рисунок 12.18 – Принципиальная схема аналогового умножителя частоты

 

В этой схеме опорный генератор с кварцевой стабилизацией частоты реализован на логических элементах D4 и D6. Генератор, управляемый напряжением, реализован на элементах D1 и D3. В качестве регулировочного элемента использован полевой транзистор VT1. Фазовый компаратор реализован на микросхемах D7, D8 и D10. Полосу захвата цепи фазовой автоподстройки определяет фильтр низкой частоты, реализованный на конденсаторе C4.

Данный умножитель частоты допускает только шестнадцать ступеней регулировки тактовой частоты, однако для целей задания тактовой частоты цифровой микросхемы, такого набора частот вполне достаточно. Код, определяющий коэффициент умножения в схеме, изображенной на рисунке 12.18, вводится через SPI порт, собранный на сдвиговом регистре D2. Особенности применения этого порта мы уже рассматривали ранее.

В более сложных схемах умножителей частоты вводятся делители между опорным генератором и фазовым компаратором. Это позволяет реализовывать дробные коэффициенты умножения частоты.

 

 






Не нашли, что искали? Воспользуйтесь поиском:

vikidalka.ru - 2015-2024 год. Все права принадлежат их авторам! Нарушение авторских прав | Нарушение персональных данных