Главная

Популярная публикация

Научная публикация

Случайная публикация

Обратная связь

ТОР 5 статей:

Методические подходы к анализу финансового состояния предприятия

Проблема периодизации русской литературы ХХ века. Краткая характеристика второй половины ХХ века

Ценовые и неценовые факторы

Характеристика шлифовальных кругов и ее маркировка

Служебные части речи. Предлог. Союз. Частицы

КАТЕГОРИИ:






Декларация компонента




Для того чтобы один объект моделирования мог быть включен в состав другого объекта, его необходимо декларировать как компонент.

Декларация компонента должна полностью совпадать с декларацией соответствующего ему объекта моделирования, но ключевое слово entity заменяется ключевым словом component.

Декларация компонента имеет следующий синтаксис:

Component имя компонента is [generic (generic_interface_list);]

[port (port_interface_list);]

end component [имя компонента];

Имя компонента является типом компонента. В структурном описании архитектурного тела могут быть несколько компонентов, к которым применима эта декларация.

Декларация компонентов может размещаться в декларативной части объектов моделирования и может быть расположена и в тех файлах, в которых описаны объекты моделирования, используемые в качестве компонентов.

Включение компонента в модель объекта. Структурное описание объекта моделирования, находящегося на верхнем уровне иерархии, состоит из назначений компонентов. В рамках этих назначений определяются фактические значения обобщающих констант и связи с другими объектами.

Задав декларацию компонентов, мы описываем спецификацию проектируемого объекта, определив, какого типа компоненты в него входят. С помощью конструкции instantiation — оператора назначения компонента, мы устанавливаем компоненты в проектируемый объект и связываем их в некоторую структуру.

Оператор назначения компонента имеет следующий синтаксис:

instantiation__label:

[component] component_name

[generic map (generic_association_list);]

[port map (port_association_list)];

Операторы назначения компонентов являются параллельно выполняемыми.

Имя компонента component_name — это имя типа компонента. Ключевое слово component в операторе назначения компонента не является обязательным.

Секция связей портов компонента port map специфицирует связи данного компонента с сигналами объекта и портами других компонентов. Port_associacion_list содержит список фактических сигналов, связанных с портами компонента.

Каждый элемент в списке (сигнал) ассоциируется с портом объекта моделирования, описанного на один уровень выше, или с его внутренним сигналом, или является независимым, что помечается ключевым словом open.

Список Generic_association_list содержит фактические значения обобщающих констант.

Оператор генерации (generate).

Оператор генерации generate позволяет компактно описать модель. Оператор генерации имеет следующий синтаксис:

Group_label: for index in range generate

Element_label: component_name [generic map (generic_accosiation_list)]

[port map (port_accosiacion_list)]

end generate [Group__label];

Допускается вложенность операторов генерации.






Не нашли, что искали? Воспользуйтесь поиском:

vikidalka.ru - 2015-2024 год. Все права принадлежат их авторам! Нарушение авторских прав | Нарушение персональных данных